Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by agump

  1. A

    How to measure the performance based on the Matlab model?

    Hi , I am realizing a digital IF system with a FPGA and I have construct a model for it with matlab/simulink. The problem is that I don't know how to use the model to measure the performance of the system, such as dynamic range, the impact of fixed-point realization on the system performace...
  2. A

    How to convert Verilog code to block diagram or schematics?

    verilog to schematic Hello I think you can have a try for the fpga advantage of Mentor or the active-hdl of aldec. These tools can import verilog or vhdl source and translate them to schematic. And you can have a try for the novas.
  3. A

    started working in field of ASICS and FPGA....

    Maybe you can image FPGA or CPLD as a ASIC with any digital logic. You can realize any function which can be realized by digital logic. Of course , you also need to consider the IO standard that FPGA or CPLD can supply.
  4. A

    What is the impact of filter's ripple and transition bw?

    transition bw Hi , I am confusing how to evaluate the impact of filer's ripple and transition. I guess they should have some impact on the passing signal's SNR. But I havn't found any text descript the problem elaborately. Please give your comments or recommend some text. Thanks a lot...
  5. A

    How to get the spectrum energy using Cordic algorithm?

    This have puzzled me for a long time. I know I can use cordic to get the amplitude of ta multi-tone signal from I-Q signal. But how can I convert this amplitude to spectrum energy. Please give your comments. Thanks a lot. Best regards. Agump
  6. A

    Is there effective algorithm calculating RMS with hardware?

    Thanks for your reply.Are there algorithms using DSP to realize it? Thanks a lot.
  7. A

    Is there effective algorithm calculating RMS with hardware?

    rms algorithm Hi, I am searching the algorithm , please geive your comments. Thanks a lot Best regards. Agump
  8. A

    Which VHDL/Verilog Editor is the best ?

    windows vhdl editor When it comes to editor for hdl , I think Emacs is the best. There exists verilog-mode.el and vhdl-mode.el. They can complete plenty of dirty work for you. In additon to that , you can use other modes to complete lots of fantastic work, such as fold , auto-complete etc.
  9. A

    How to get frequency-adjustable clock with low jitter?

    clock generator adjustable frequency My project need to supply a frequency-adjustable clock to a ADC , and require the clock's jitter less than 10ps.At the same time , we need put a copy of the clock to a FPGA which receives datas from the ADC. Now I know I can use VCO controlled by PLL to get...
  10. A

    Passband sampling or DDC?

    ddc processing gain What do you mean about "jitter noise figure is propotional to analog Fif", I think bandpass sampling and DDC both process the same Fif and just select different sampling frequency. I am not family about the processing gain and I am about to read some reference about it. Thanks.
  11. A

    Passband sampling or DDC?

    sampling passband signals Thanks for your reply. I have read this article and can't understand why the aperture jitter make the bandpass sampling unusable , since the aperture jitter is determined mainly by the ADC and the sampling clock. If using the bandpass sampling , a low-speed clock can...
  12. A

    Passband sampling or DDC?

    passband sampling Hi, I am engaged in a project which need to process digital IF signal. The passband sampling theory shows that when we sample a signal based on the theory, we can get the signal in the base band. But when I read some references , they show me that the digtal down...
  13. A

    How to understand and get the response time of a filter?

    Hi, I am engaged in a filter design and have read some books about the filter. I am now knowing that I must apply the input to the filter for some time. But I can't know where to get the time. Please give me some help , thanks. Best regards. Agump
  14. A

    how to filter out the glitch from the interface?

    Thanks for your reply. In fact , the signals to the FPGA is from a share bus , and the glitch is from multi drivers' switching. So the glitch can't be avoided. I think the glitch should exist on the beginning of the signal's transition, so it seems that I need a debounce circuit. I am not...
  15. A

    how to filter out the glitch from the interface?

    glitch filtering in fpgas Hi , I am realizing a FPGA which receive signals from the interface and processing it. Since the signals from the interface can have some glitch , I need filter out them. But I don't know how to do this and can't find any documents about this. Please help me with...

Part and Inventory Search

Back
Top