library ieee;
use ieee.std_logic_1164.all;
entity test is
port(clock: in std_logic);
end entity;
architecture structure of test is
component a
port(clock: in std_logic;
bbus: inout std_logic_vector(7 downto 0);
cs: inout std_logic);
end component;
component b
port(clock: in std_logic;
bbus: inout std_logic_vector(7 downto 0);
cs: in std_logic);
end component;
signal bbus: std_logic_vector(7 downto 0);
signal cs: std_logic;
begin
c1: a port map(clock, bbus, cs);
c2: b port map(clock, bbus, cs);
end structure;