Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

WLF Error in modelsim

Status
Not open for further replies.

raghava216

Junior Member level 3
Joined
Mar 10, 2011
Messages
27
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,646
I just did a simulation in modelsim 6.0 SE and after the simulation, I opened the 'vsim.wlf' file.

It did not open and threw the following message.

I am not able to open the waveform even after closing the existing instance of modelsim and opening in a new one.

This is the error message:
# WLF Error: File is open by another application or was not closed properly.
# Cannot open file: C:/projects/project1/code/tb/sim/vsim.wlf
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top