width detect and compare in synthesizable verilog code

Status
Not open for further replies.

yann_sun

Member level 1
Joined
Jul 17, 2006
Messages
38
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,529
Hi,
If there are 3 single pulse signals, one of which (1st) follow 2nd signal with a delay 10ns, how to compare the other one(3rd) width with the delay value? If 3rd width equals that delay value, indicate it.
Thanks.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…