Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Why Synplify can compile some VHDL sources?

Status
Not open for further replies.

siosavin

Member level 4
Joined
Jun 26, 2004
Messages
70
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
560
synplify problem.

Synplify cannot compile vhdl sources which have real divided by real statement. How i can solve this problem?
 

Re: synplify problem.

The type of the data real does not enter into a synthesized subset of language VHDL.
Try to present your data in the other kind.
 

synplify problem.

It support REAL data. It can add, sub, multiply to REAL.
But it cannot divide two REAL. I want a solve for it.
 

Re: synplify problem.

Synplify does not support type of data REAL. You are mistaken.
Otherwise result to me the FULL REFERENCE on the documentation where it is told about the opposite.
 

Re: synplify problem.

Real numbers are not mapped directly into hardware now
because of complex resulting netlists.
But when specifying constants
one can do some calculations with real numbers,
and the resulting constants have to be integers or vectors
which are synthesable.
Synplify could do such constants except calling procedures with
real numbers because it does not port the library IEEE.MATH.REAL, etc.
 

Re: synplify problem.

I concur with Wasp. Synplify does not support real types. Just declare a type pi as real in a package and you will get an error. Use Leonardo Spectrum for real types.

delay (delayed by technology)
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top