Why does the Modelsim fail to simulate the execution of a C program from DDR SDRAM?

Status
Not open for further replies.

ezzeldeen

Junior Member level 2
Joined
May 27, 2008
Messages
22
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,413
I have the Spartan 3e starter kit.

I constructed an EDK system using EDK 9.1 tool. I executed a C program from DDR SDRAM (that exsit on the board) using the XMD tool. and get the result on the serial port. (the system is correctly worked)

But I want to simulate this system for more understanding for some operations.

Therefore, when I tried to show this result on the simulator (using the modelsim 6.1e), the simulation process was failed.

But, when I put the C program in the BRAM, the simulation result was correct.

is there anyone knows why the modesim fail to simulate the execution of a C program from DDR SDRAM?
 

ddr simulation in ads

i founded that, DDR SDRAM model must be included with my design to enable the modelsim to simulate the whole system.

i get DDR SDRAM veriloge model from micron.

But i do not know how can i include the model with my design ?

and how can i insert a C program in the DDR SDRAM model?

I want to know how can i use this model ?

please could any one help me ?
 

edk ddr sdram

if you used EDK, I don't know why you need to simulate with DDR-SDRAM.
 

sdram simulator

first, it is a good practice to simulate your design and check that it is correctly worked before run it out.

second, I want to simulate my design for more understanding for some operations.

I want to see the behaviors of some signals.
 

sdram simulation

ok I understand.
 

ddr sdram simulation processing

DDR SDRAM model must be included with my design to enable the modelsim to simulate the whole system.

i get DDR SDRAM veriloge model from micron.

But i do not know how can i include the model with my design ?

and how can i insert a C program in the DDR SDRAM model?

I want to know how can i use this model ?

Please could any one help me ?
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…