Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Who, when and where places spare cells?

Status
Not open for further replies.

kumar_eee

Advanced Member level 3
Joined
Sep 22, 2004
Messages
814
Helped
139
Reputation
276
Reaction score
113
Trophy points
1,323
Location
Bangalore,India
Activity points
4,677
Can anyone answer me the following questions?

1. Who(RTL Desginer or Back-end Engr) will add the spare cells? My guess is RTL Designer.

2. Do we place spare cells during placement?

3. Does these cells placed at a particular location? If so, who will provide the location detail? Again my guess is front-end Designer

4. What about the input & output pins? Does these pins(Power & Signal) connected to something(VSS or VDD)?
 

Re: Spare Cells

for the question 3 ,I think backend engineer should discuss with frontend engineer!
 

Re: Spare Cells

1. You can add spare cells in rtl and/or in Place&Route step! Either way is ok but you should discuss this with the designer (what kind of cells, how many, how/where to place them)!
2. The spare cells are placed in placement step. You should take care to mark them as spare cells or they will be removed by the tool as they are seen as redundant logic!
3. You can place the in specific location, spread them in a specified area or even spread them on the entire area of the digital!
4. The inputs are connected to VDD/VSS via STIE* cells! The outputs can be floating! Check spare cells handling specifics for the tool you are working with and if it does not have this you have to take care not to leave a floating input!

Hope this helps!
 

Spare Cells

How to spread Spare cells over the specified area or entire tile....
 

Re: Spare Cells

In ICC you can do this:

spread_spare_cells -bbox {{10.0 10.0} {100.0 200.0}} [get_cells spare*]

or:

create_bounds -rectangle {10 10 100 200} spare_module_name
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top