White Noise Generator with Verilog/VHDL

Status
Not open for further replies.

juana

Newbie level 6
Joined
Jun 29, 2004
Messages
13
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
40
noise generator verilog

I gotta synthesise a white noise generator for audio band, using Verilog/VHDL
Any suggestions... ?
Thanks
 

verilog white noise

you can generate white noise with matlab, and then transform it binary data, such as symbol function.
you can store these data and regard it white noise.
 

verilog white noise generator

If you want a true noise source, HDL can do nothing for you. I should post on analog ic board.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…