What is theuse of Dynamic casting($cast) in system verilog

Status
Not open for further replies.

karalamoorthy_p

Newbie level 5
Joined
Jun 6, 2013
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,358
Hi all,

I want to know about the use of $cast in system verilog. Because I didnt use this keyword till now. But in UVM I could see this keyword in all the class.So please explain the usage of $cast keyword with one simple example.

If anyone knows please reply me. It would be greatful.



Thanks and regards
Karalamoorthy
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…