What input type does the VHDL have?

Status
Not open for further replies.

V

Member level 3
Joined
Jan 20, 2005
Messages
67
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
500
input type

I am new in VHDL. I want to ask about the input type. What input type does the VHDL have?

I just know integer, std_logic, std_logic_vector, are there any more?
and also when i use these type? what i mean is e.g. std_logic_vector : for making array, std_logic : '0' and '1' .

Thanks a lot~
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…