wait statement in procedure in VHDL

Status
Not open for further replies.

raghava

Member level 2
Joined
Jul 31, 2008
Messages
51
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
1,870
Hi,


Can I use wait statement in procedure in VHDL.

Regards

Added after 16 minutes:

HI

Myself got the answer.

Synchronous logic could be implemented in procedure using if statement not wait statement.

For example..

procedure load_rc is
begin
start_count <= '1';
-- wait until falling_edge(i_clk);
if(i_clk'event and i_clk = '1') then
i_R <= std_logic_vector(to_unsigned(IR, 13));
i_C <= std_logic_vector(to_unsigned(IC, 13));
end if;
end load_rc;

As shown in the above code, instead of commented wait statement we have to use if statement.

Regards
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…