Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Virtual signals in ModelSim

Status
Not open for further replies.

vardan

Member level 1
Joined
Feb 4, 2003
Messages
34
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Activity points
261
virtual type modelsim

Hi all,
I used virtual signals for debugging FSM in ModelSim SE Plus 5.8a simulator. In the following example 2'bxx state is seen like IDLE.

virtual type {{2'b00 IDLE} {2'b01 READ } {2'b10 WRITE} {default Wrong_State}} fsm_state
virtual function {(fsm_state)State} STATE_virt
add wave STATE_virt


I prefer to see Wrong_State for all states except {2'b00 2'b01 2'b10}. How can it be coded?

Thanks in advance,
Vardan
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top