Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL & writing test bench in VHDL for RTL verifiaction

Status
Not open for further replies.

asic_designer

Newbie level 3
Joined
May 20, 2005
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,310
I have been assigned a new project where I need to write down VHDL test bench based on the design specification and RTL code is available to me for this design.I am new to VHDL and verifaication flow. Please guide me suitable links/materials for quick start up. Thanking all of you in adavnce.

[/b]
 

Re: VHDL & writing test bench in VHDL for RTL verifiacti

Charles H Roth is a good book for VHDL.
 

Re: VHDL & writing test bench in VHDL for RTL verifiacti

Thank You for replies. I will try to get the VHDL book. Can I get this book online? Thank You. Any good links/reading stuff for quick learning VHDL can also be helpful to me.
 


Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top