1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
| -- The CID register
-- DeviCe IDentification register.
-- Stores information about device
type cid_reg_t is
record
mid : byte_t; -- Manufacture ID
cbx : std_logic_vector(1 downto 0); -- Device/BGA
oid : byte_t; -- OEM/Application ID
pnm : word48_t; -- Product Name
prv : byte_T; -- Product Revision
psn : dword_t; -- Product Serial number
mdt : byte_t; -- Manufacturing Date
crc : word7_t; -- CRC
end record;
constant cid_reg_rst_t : cid_reg_t := (
mid => (others => '0'), cbx => (others => '0'), oid => (others => '0'),
pnm => (others => '0'), prv => (others => '0'), psn => (others => '0'),
mdt => (others => '0'), crc => (others => '0'));
constant cid_reg_w100_default : cid_reg_t := (
mid => std_logic_vector(
to_unsigned(
16#70#, mid'length),
cbx => std_logic_vector(to_unsigned(16#1#,cbx'length),
oid => std_logic_vector(to_unsigned(16#0#,oid'length),
pnm => std_logic_vector(to_unsigned(16#5731303332#,pnm'length), -- W10032
prv => std_logic_vector(to_unsigned(16#B9#,prv'length),
psn => std_logic_vector(to_unsigned(16#A60366#,psn'length),
mdt => std_logic_vector(to_unsigned(16#12#,mdt'length),
crc => std_logic_vector(to_unsigned(16#5E#,crc'length)); |