VHDL to verilog translator

Status
Not open for further replies.

roshansarode

Newbie level 3
Joined
Oct 15, 2007
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,305
Hi,
I want VHDL to verilog translator.I find it at oceanlogic. but it is not useful.Can anybody convert following VHDL into verilog
type op_6 is array (7 downto 0) of std_logic_vector(5 downto 0);
type prod_6 is array (3 downto 0) of op_6;

type prod_48 is array (4 downto 0) of std_logic_vector(47 downto 0);
type sum_24 is array (3 downto 0) of std_logic_vector(23 downto 0);

type a is array (3 downto 0) of std_logic_vector(23 downto 0);
type prod_24 is array (3 downto 0) of a;

signal prod : prod_6;
signal sum : sum_24;
signal prod_a_b : prod_48;

signal count : integer range 0 to 4;
 

Hi

Use X-HDL.


tnx
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…