Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL to schematic conversion

Status
Not open for further replies.

TekUT

Full Member level 6
Joined
Jun 17, 2008
Messages
323
Helped
39
Reputation
78
Reaction score
15
Trophy points
1,298
Location
Italy
Activity points
3,557
Dear all,
I know that usually is made the schematic to VHDL translation but I'm starting in learning this language and I like to know if using Modelsim or other software there is a way to show in a schematic like way the equivalent of a previously written VHDL code.

Thanks in advance

Powermos
 

Hi

You can observe how code implemented after Synthesys (RTL) and Place`n`Route (Post-Fit). See CPLD/FPGA vendors soft.
 

TekUT said:
Dear all,
I know that usually is made the schematic to VHDL translation but I'm starting in learning this language and I like to know if using Modelsim or other software there is a way to show in a schematic like way the equivalent of a previously written VHDL code.

Thanks in advance

Powermos

If VHDL to Schematic only, XILINX can handle it by View RTL Schematic option. If you want to get a VHDL netlist(gate-level) design, use Design Compiler...
 

hi :) , you can use altium designer , i learned recently that it can do this kinds of conversions ;)
 

Qu(ar)tusII can do that too.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top