VHDL STRING_LITERAL or a tick-double-quoted string literal ERROR

Status
Not open for further replies.

harerama

Member level 4
Joined
Sep 21, 2011
Messages
79
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Location
Bangalore,India
Activity points
1,747
HI all,
I new to the VHDL please help me to sort out this error.

near ";": syntax error, unexpected ';', expecting STRING_LITERAL or a tick-double-quoted string literal
 

Without your code it's IMPOSSIBLE to tell you what's wrong.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…