Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL Records equivalent in Verilog

Status
Not open for further replies.

imbichie

Full Member level 6
Joined
Jul 30, 2010
Messages
381
Helped
55
Reputation
110
Reaction score
54
Trophy points
1,308
Location
Cochin/ Kerala/ India or Bangalore/ Karnataka/ Ind
Activity points
3,580
Hi All,

In VHDL Record is there for combining two or more signals/ports and we can call the records in a single name of representation.

So in Verilog is there any equivalent keyword for the same.

Thanks in Advance
 

The closest approximation is an interface, but I haven't run across any vendor tools that support it for synthesis. For simulation purposes it seems to be supported by the big vendors.
 

SystemVerilog has structures, which are synthesizable by most tools that claim support for SystemVerilog. Interfaces are also part of SystemVerilog, and are synthesizable as well, but they are not data types like a structure is.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top