VINUVIJAYAN
Newbie level 4
- Joined
- Jan 20, 2013
- Messages
- 5
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1,281
- Activity points
- 1,320
can anyone please help me with how to open a text file and store the message into a register????
Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 read:process variable inline : line; variable da : std_logic_vector(N-1 downto 0); -- define N yourself. begin if(endfile(DATA))then -- DATA is the file. wait; elsif data_en = '1' then readline(DATA,inline); read(inline,da); else data_in_temp <= (others => '0'); end if; data_in_temp <= da; wait for CLK ns; end process;