VHDL function name duplicate problem

Status
Not open for further replies.

umdiablotc

Newbie level 1
Joined
Oct 10, 2008
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
Hi, There 2 packages in my design, each of them defined a function with exactly the same prototype. How can I specify which one I want to call in my design?
 

You can specify required package functions explicitely in import statements instead of using .all keyword.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…