Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

vhdl coding for counter

Status
Not open for further replies.

guidence

Newbie level 3
Joined
Nov 10, 2007
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,304
vhdl code for 8 bit counter with enable and terminal counter

vhdl code for 16 bit counter using 8 bit counter whose terminal count will act as enable for 2nd counter
 

Is that for your homework?
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top