vhdl code fordivision whose result is a floating point number

Status
Not open for further replies.

jincyjohnson

Member level 4
Joined
Aug 24, 2013
Messages
72
Helped
1
Reputation
2
Reaction score
1
Trophy points
8
Activity points
436
whether floating point division in vhdl is possible. if possible is there any simple program or keyword for that. plz explain
 

floating point number is a view of the bit vector, that you need to code in your RTL code.
 
"floating point division VHDL" is a good keyword.

You'll notice that FPGA vendors have IP blocks for this purpose.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…