vhdl code for serial peripheral interface

Status
Not open for further replies.

sukanya123

Newbie level 1
Joined
May 23, 2012
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
hi
am working with spartan 6 fpga.i need to write the vhdl code for spi interface.in my project microcontroller is master and fpga is slave .i need to trasfer the data(24 bit) from master to slave.i am not understanding how to start.please help me out.
 

It is not that hard to write a SPI slave module. If you take a look at the timing diagram, you will clearly see it.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…