Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Vhdl code for mux tree

Status
Not open for further replies.

priyadarsanp9

Newbie level 1
Joined
Apr 1, 2013
Messages
0
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,280
Activity points
1,280
CAN ANYBODY POST ME THE VHDL CODE FOR MUX TREE i.e; implementation of 16:1 mux using 2:1 mux using 2:1 mux as block for 16:1 mux?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top