VHDL code for matrix multiplication

Status
Not open for further replies.

Vazhipokkan

Newbie level 1
Joined
Jun 6, 2013
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
As a part of my project, I have to evaluate the following expression to get my final answer.

X = [H'*H]-1*H'*C
where H' means transpose of matrix H. Here H is a 3*2 matrix and C a 3*1 matrix. All entries to the matrices are 8-bit binary numbers. Please help me by suggesting an algorithm/ posting a vhdl code to do this. (Code has to be a synthesizable one)
 

I would rather you have a go first, and we'll help you with that, rather than us do your homework for you.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…