vhdl code for a pulse

Status
Not open for further replies.

Deepika.R

Newbie level 3
Joined
Apr 25, 2013
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,308
hi friends.. help me write a code for a pulse of 30µs .. its only one pulse .. not periodic pulses ... along with a test bench ..
 

While writing hdl code you cannot specify time values and even if it simulates it is not synthesizable.
You can generate pulse fo 30 micro sec as
constant pulse_period : time := 30000 ns;
pulse <= 0;
pulse <= not pulse after 40000 ns;
pulse <= not pulse after time;

or you can use wait statements also
as
pulse <= 0;
wait for -----(specify time here);
pulse <= not pulse;
wait for pulse_period;
pulse <= not pulse;
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…