Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL-AMS question about behavior model and hold stage

Status
Not open for further replies.

safwatonline

Advanced Member level 4
Joined
Nov 19, 2005
Messages
1,341
Helped
219
Reputation
438
Reaction score
48
Trophy points
1,328
Location
EGYPT
Activity points
9,038
VHDL-AMS

i am kind of new in VHDL-AMS and i am trying to make a simple behavior model of a sample and hold stage using VHDL-AMS, it should act on the positive edge of the pin "clk" then capture the voltage of a pin "vin" into an output electrical terminal pin "vout".
the thing is i made an "if-use" statement to detect the positive edge of the signal clk, and then i put the statement "vout==vin", but this seems not to work as the compiler needs to have another condition "if-else" statement on "vout,vin". can anyone help here!
regards,
Safwat
 

VHDL-AMS

does anyone know a vhdl-ams forum?
 

Re: VHDL-AMS

can u please tell me what's the tool you are using? and how i can get it?
thanks a lot
 

VHDL-AMS

i am using Mentor Flow using Design architect for test bench and ADMS as the simulator
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top