VHDL-AMS basic syntax question

Status
Not open for further replies.

knack

Member level 2
Joined
Feb 25, 2007
Messages
48
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Location
Austria
Activity points
1,674
Hi there,

I have a question that i think basic; in VHDL-AMS what's the difference between TERMINAL, PORT, and SIGNAL assignment?
When should I use ELECTRICAL attribute and when should I use INOUT and so on?
This confuses me a lot!!!
Say what, can anybody give me a quick tutorial or reference for fast learning VHDL-AMS with focus on HSIOs modeling? Or any general tutorial..

Thanks a lot in advance,
Cheers,
--Knack
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…