verilog to VHDL Converter

Status
Not open for further replies.

usman

Junior Member level 3
Joined
Aug 4, 2005
Messages
27
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,281
Activity points
1,502
AOA to ALL!!!!
is there any tool(software) available that can convert verilog code to VHDL code. i.e verilog to VHDL converter....
 

Search this forum. Loads of information available on this question asked before.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…