Verilog synthesize errors: multi-source in Unit on signal

Status
Not open for further replies.

tanzil_dhk

Advanced Member level 4
Joined
Aug 9, 2006
Messages
109
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,296
Activity points
1,924
i m trying to do a simple robot controller in verilog ..while trying to to synthesize my code its showing an error message like this........

ERROR:Xst:528 - Multi-source in Unit <RNAV> on signal <robotdirection<2>>
ERROR:Xst:528 - Multi-source in Unit <RNAV> on signal <robotdirection<1>>
ERROR:Xst:528 - Multi-source in Unit <RNAV> on signal <robotdirection<0>>
ERROR: XST failed

note: robotdirection is my 3 bit output of the program
 

Re: synthesize problem

It Describes there is multiple driver on the output.
 

Re: synthesize problem

Yes, it's not allow multidriver!
 

synthesize problem

that means you are assigning values to your output in diffrent always block
 

synthesize problem

befor synthesize, you can use Nlint to check your code and find this error
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…