Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] [Verilog] Output problem

Status
Not open for further replies.

Crabe05

Newbie level 1
Joined
Sep 19, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
France
Activity points
1,286
Hi everybody.
I've made 2 'memory8' module (that store 8 bits encoded data). One is read-only, the other is read-write. Here is the output with the ro memory :

Code:
[00]: 10100010 (a2|162)
[3f]: 01100101 (65|101)
[6d]: 00011011 (1b| 27)
[ff]: 10000111 (87|135)
[a2]: 00000000 (00|  0)
[3f]: 01100101 (65|101)

All is good.
But with rw memory :
Code:
read
[00]: 10100010 (a2|162)
[3f]: 01100101 (65|101)
[6d]: 00011011 (1b| 27)
[ff]: 10000111 (87|135)
write
[a2]: xxxxxxxx (xx|  x)
[a2]: 10011001 (99|153)
[3f]: 00001111 (0f| 15)
read
[3f]: 0xx0x1x1 (XX|  X)
[a2]: 00000000 (00|  0)
[3f]: 0xx0x1x1 (XX|  X)
Ouch !!

What happened ?
Thanks a lot, Crabe.
 

I think that people will need to see your code to help you.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top