[SOLVED] VCD generation. i just get an empty file

Status
Not open for further replies.

magnonistefano

Newbie level 4
Joined
Apr 1, 2011
Messages
6
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,358
Hello.

It's the first time i try to generate a VCD and i am getting some troubles.

I have a testbench called bench_minimips.vhdl that contain the entity sim_minimips.
I want simulate it and get a VCD out of it.

i am typing the following command in the Modelsim shell:

*********************************
vsim work.sim_minimips
vcd file myvcd1.vcd
vcd add -file bench_minimips.vhd/*
run
*********************************

but if i open myvcd1.vcd in an empty file. What should i do to create the dump?

I tryed as well as sudgested in another post the command:

wlf2vcd -o myvcd2.vcd vsim.wlf

but a error is generated.

I am really lost in understanding because all the websites i find tells you to use a TCL generated by altera or some other company and i do not have theyr content to look at.

Does someone knows what should i do?:roll:

Best,
Stefano
 

Reactions: suneec

    suneec

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…