Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VB simulate and AT89S52 do the sin wave

Status
Not open for further replies.

jx_0009

Newbie level 6
Joined
Aug 26, 2006
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,338
#include"reg51.h"
#include"absacc.h"


#define DA XBYTE[0xFEFF]

unsigned char code wave[]=
{
77,
93,
106,
116,
120,
118,
110,
99,
85,
71,
59,
52,
50,
54,
63,
76,
92,
108,
121,
130,
134,
132,
125,
113,
99,
85,
73,
65,
63,
67,
76,
89,
104,
120,
133,
142,
146,
144,
136,
124,
110,
95,
83,
75,
73,
76,
85,
97,
113,
128,
141,
149,
153,
150,
142,
130,
116,
101,
88,
80,
77,
80,
88,
101,
115,
130,
143,
151,
154,
152,
143,
131,
116,
101,
88,
79,
76,
78,
86,
98,
112,
127,
139,
147,
150,
147,
138,
125,
110,
95,
82,
72,
69,
71,
78,
90,
104,
118,
130,
138,
140,
137,
128,
115,
100,
84,
70,
61,
57,
59,
66,
77,
91,
105,
117,
125,
127,
124,
115,
101,
86,
70,
56,
47,
42,
44,
51,
63,
76,
90,
102,
110,
112,
109,
100,
86,
71,
55,
41,
32,
28,
29,
36,
48,
61,
75,
87,
95,
98,
94,
86,
73,
57,
41,
28,
18,
14,
16,
23,
35,
49,
63,
75,
83,
86,
83,
74,
62,
46,
31,
18,
9,
5,
7,
14,
26,
40,
55,
67,
76,
79,
76,
68,
56,
41,
26,
13,
4,
0,
3,
10,
23,
37,
52,
65,
74,
77,
75,
67,
55,
41,
26,
14,
5,
2,
4,
12,
25,
40,
55,
68,
78,
82,
80,
73,
61,
47,
32,
20,
12,
9,
12,
20,
33,
48,
64,
77,
87,
91,
90,
83,
71,
57,
43,
31,
23,
20,
23,
32,
45,
61,
76,
90,
100,
105,
103,
96,
85,
72,
58,
46,
38,
35,
38,
47,
60,
};


unsigned char i=0;
void main()
{
while(1)
{
DA=wave[i++];
}
}
 

VB simulate and AT89S52 do the sin wave
 

VB simulate and AT89S52 do the sin wave
 

VB simulate and AT89S52 do the sin wave
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top