Variable length Correlation in VHDL

Status
Not open for further replies.
Okey ;


Thank you ;
 

Code:
use IEEE.math_real.all;

use IEEE.math_real."ceil";
use IEEE.math_real."log2";
1. ceil and log2 are part of math_real. The first line should already include it. In case of doubt review the library definition of your VHDL tool.
2. the additional lines have wrong syntax
 



Thank you

- - - Updated - - -


Thank you
 


Thank you My friends
 

Attachments

  • problem.PNG
    385.6 KB · Views: 59
Last edited:

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…