Yankie
Newbie level 4
- Joined
- Dec 12, 2012
- Messages
- 5
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1,281
- Activity points
- 1,345
Hi,
Is it possible to have a UVM sequencer that takes an object from UVM sequence and sends only a subset of the object to the driver?
E.g
class RECT extends uvm_sequence_item;
int length, breadth, area, perimeter;
endclass
class CIR
int radius;
endclass
class driver extends uvm_driver #(CIR);
seq_item_port.get_next_item(req);
seq_item_port.item_done();
endclass
Is it possible to have a sequencer that would take object of class RECT, copy it's data in to CIR object and then send this CIR object to driver?
class seqr extends uvm_sequence #(RECT);
CIR obj_CIR;
virtual task body()
obj_CIR = new();
`uvm_create_on(req, m_sequencer);
obj_CIR = req.length;
start_item(obj_CIR);
finish_item(obj_CIR);
endtask
endclass
Thanks !!
Is it possible to have a UVM sequencer that takes an object from UVM sequence and sends only a subset of the object to the driver?
E.g
class RECT extends uvm_sequence_item;
int length, breadth, area, perimeter;
endclass
class CIR
int radius;
endclass
class driver extends uvm_driver #(CIR);
seq_item_port.get_next_item(req);
seq_item_port.item_done();
endclass
Is it possible to have a sequencer that would take object of class RECT, copy it's data in to CIR object and then send this CIR object to driver?
class seqr extends uvm_sequence #(RECT);
CIR obj_CIR;
virtual task body()
obj_CIR = new();
`uvm_create_on(req, m_sequencer);
obj_CIR = req.length;
start_item(obj_CIR);
finish_item(obj_CIR);
endtask
endclass
Thanks !!