using $dumpvar on 2d register error

Status
Not open for further replies.

sarah_st

Newbie level 4
Joined
Jan 7, 2008
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Canada
Activity points
1,322
My design has a 4kb memory unit and I need to capture its switching activity.

If I use:
$dumpvars(1, testbench.decoder0);

in my testbench, I should get all activity from all nets/registers in my design. However, I don't get any switching activity from my memory unit which is a 2D register array.

If I try to use below to manually reference:
$dumpvars(0, testbench.decoder0.virtual_mem0.mem[1023]);
$dumpvars(0, testbench.decoder0.virtual_mem0.mem[1022]);
$dumpvars(0, testbench.decoder0.virtual_mem0.mem[1021]);
$dumpvars(0, testbench.decoder0.virtual_mem0.mem[1020]);
$dumpvars(0, testbench.decoder0.virtual_mem0.mem[1019]);
....
ModelSim says invalid argument 2.. it doesn't like "[ ]".

How can I capture my memory activity using VCD in ModelSim?

Thanks.
 

Just found out that from ncvlog user guide: For Verilog, you cannot probe arrays of variable data types to a VCD database. This includes Verilog memories, which are one-dimensional arrays of type reg.

Great!

Any other way?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…