Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Useful skew-all the problm you said is also zero skew problm

Status
Not open for further replies.

albred

Member level 2
Joined
Aug 1, 2005
Messages
48
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Location
china
Activity points
1,682
It'said that the design using useful skew for timing optimization is susceptive of the operating condition variation-temperature,voltage,process...
And why?

zero skew clock tree also have clock buffers which have PVT variance.
 

Re: Useful skew is operating condition sensitive?

Obviously,skew will change under different operation conditions.
 

Re: Useful skew is operating condition sensitive?

Yeah..
Simple explaination...
To get the useful skew u may add some buf to clock path that buf changes its property depending on operating condition.. so the skew also will get affected because of PVT condition variation..
 

Re: Useful skew is operating condition sensitive?

in use-full skew method upsizing and downsizing of buffers or inserting buffer will be done, obously gate delays will varies according to pvt conditions, so skew will get effected.
 

Re: Useful skew is operating condition sensitive?

Hi ,

Too add one point to above responses to reduce this people use some specific clkbuffers in CLK TREE . These buffers are less senistive to PVT compared to others .

Still it will change but less change compared to datapath cells .


Thanks & Regards
yln
 

Useful skew is operating condition sensitive?

hello,

useful skew is operation sensitive, because the timing considerations vary with the logic cloud, and the path it traverses.
 

Useful skew is operating condition sensitive?

yes, usful skew is obey normal rule of CTS, which should be only used in special condition such as setup time can't be meet by all normal IPO such as buf and resize. So use the usful skew option in CTS carefully.
 

Useful skew is operating condition sensitive?

Maybe usful skew is used in CTS
 

Re: Useful skew-all the problm you said is zero skew problm

zero skew clock tree also have clock buffers which have PVT variance.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top