Use Clock Rising and falling edge when running synthesis

Status
Not open for further replies.

daffo123

Junior Member level 2
Joined
Nov 24, 2009
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Seoul
Activity points
1,504
Dear All.

Is there any idea to use both clock rising and falling edge when we run synthesis?

I got an error message when I use both the rising and falling edge in synthesis.

Please let me know if you have ideas or experiences.

Thank you.
 

My belief is that we cannot use the same clock clock rising and falling edges in synthesys, because there is no such flop which works with both rising and falling edges.
 
can you invert the first clock and use the posedge of the resultant clock?
 
My belief is that we cannot use the same clock clock rising and falling edges in synthesys, because there is no such flop which works with both rising and falling edges.

Actually there is such flops, called "dual-edge triggered flip-flop". But I'm not sure that vendors have such stabdard cells and EDA tools can operate with it.
 
Thank you very much all of responders.

It is very very helpful for me

Best Regards.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…