Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Undefined values at the output of TDC designed by carry4 primitive

Status
Not open for further replies.

msdarvishi

Full Member level 4
Joined
Jul 30, 2013
Messages
230
Helped
1
Reputation
2
Reaction score
1
Trophy points
18
Activity points
2,349
Hello everyone,

I designed a Time-to-Digital Converter (TDC) configured by CARRY4 primitive in Virtex-5 (XC5VLX50T) FPGA using ISE 14.7 and Isim as the simulatior for Post place and route simulation purpose.

As you see, in the attached figure, my "clk_in" signal is 200 MHz fed to the DCM and the "output_test_point" is the output 2X of DCM that provides 400MHz clock signal for my TDC. I configured a delay line with 64 stages of CARRY4 resulting in 256 output bits. As you see, the "latched_output(255:0)" is the output of my TDC passed through D-flipflops to be sampled (each output of 256 bits passes through a Dflipflop). I am very surprised why the "latched_output" signal has undefined values 'X' at some signals?

It seems that the 'X' signals have different drivers, but I do not know how to recongize it?? Is there any way in Isim to find out the corresponding driver schematic for each signal after post place and route simulation?

I would cordially apprciate any help from you to solve this bug !

Thanks,
 

Attachments

  • forum.png
    forum.png
    39.3 KB · Views: 124

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top