Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Type conversion in vhdl

Status
Not open for further replies.

jis

Junior Member level 3
Joined
Dec 17, 2011
Messages
27
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,501
Hi all,
Is it possible to convert real to integer type in vhdl?Is there any in-build function to do this conversion?
 

The simple answer is: yes. And the name of the conversion function is INTEGER(). It will take the integer part of the real argument.

I know, that people asking similar questions often want to implement fixed point numbers. But I trust in your ability of asking a clear question.
 
  • Like
Reactions: jis

    jis

    Points: 2
    Helpful Answer Positive Rating
Thanks alot for helping me...
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top