transient simulation with random values

Status
Not open for further replies.

analogLow

Member level 4
Joined
Nov 18, 2010
Messages
68
Helped
15
Reputation
30
Reaction score
12
Trophy points
1,288
Location
Earth
Activity points
1,785
Hi all,

I have a data signal D that should change value at random times throughput X CLK cycles. For example, during the first cycle, D would change value e.g. at 30% of the CLK period and e.g. 54% during the next CLK period, etc. Each CLK period would see D changing at random times throughout the entire X CLK cycles.

I am guessing already that I need to externally generate the random data and then import it (e.g. with Matlab). The other idea I have is using Ocean with the random() function.

Any other ideas?

fyi - I am using Cadence spectre and ADE XL environment.

Cheers,
analogLow
 

Suppose you create an oscillator whose frequency is a well-chosen multiple of your clock? Something that is not a simple fraction. Suppose you try 1.23 or 1.31 or 1.37. This isn't the same as random occurrences but after enough cycles it may perform in a similar manner.
 
Thanks to both of you for your ideas ... I finally got a chance to try them.

The oscillator idea gave me another idea ... running the oscillator with monte-carlo. This gives enough variation/randomness to help me ... the only problem is the speed.

The vprbs - source is what I ended up using to test the block. It works "good enough". Finding documentation on vprbs is tough so I am still playing with the fields to see what it does.

Thanks again!

cheers,
analogLow
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…