[SOLVED] To send text file through rs232 to fpga

Status
Not open for further replies.

lucky

Newbie level 6
Joined
Aug 30, 2010
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,365
hello everyone,
i had written uart receiver module and baud generator module for baudrate:9600 bps in vhdl. This code is working properly for all 1 digit values. Now i want to send a text file containing datas such as

eg: 123 56 234
175 34 100

how do i send this through rs232 to fpga???

Thank you all in advance..................
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…