TMSC.18 model simulation error with the rf_ahdl.cml file

Status
Not open for further replies.

czn

Junior Member level 2
Joined
Nov 30, 2004
Messages
24
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Location
taiwan
Activity points
167
TSMC.18 model simulation error!! use spectre model


hpeesofsim (*) 2005A.400 Aug 5 2005 (built: 08/05/05 22:12:30)
Copyright Agilent Technologies, 1989-2005.

Compiling Verilog-A file
'/home/018_2/tsmc18rf/../models/spectre/rf_ahdl.va'

AGILENT-VACOMP (*) 2005A.400 Aug 5 2005 (built: 08/06/05 01:59:36)
Tiburon Design Automation (R) Verilog-A Compiler Version 1.20.050605
Copyright (C) Tiburon Design Automation, Inc. 2002-2005. All rights reserved.

Warning: Variable 'c' in module 'rfCap' is never set.
Error: platform CML compile failure, view the log files:
'rf_ahdl.stdout'
'rf_ahdl.stderr'
in
'/home/hpeesof/agilent-model-cache/cml/1.20/linux_x86/spectre_01488_20060613_015854_1804289383/lib.linux_x86'

Error in Platform Compile of '/home/hpeesof/agilent-model-cache/cml/1.20/linux_x86/spectre_01488_20060613_015854_1804289383/lib.linux_x86/rf_ahdl.cml'

Error detected by hpeesofsim in Compiling Verilog-A based device during netlist parsing.
failed to compile '/home/018_2/tsmc18rf/../models/spectre/rf_ahdl.va'.

Flushing data (please wait) ...

hpeesofsim terminated due to an error.
ds2psf_exe--ERROR: Cannot access file /home/simulation/tt123/ADSsim/schematic/netlist/data.ds.
\nds2psf was unsuccessful. PSF files are not available for this simulation.




how to solve? I don't know how to calculate the c ?
because I use spectreRF no problem
 

agilent vacomp error

Please post the log files rf_ahdl.stdout and rf_ahdl.stderr in the dir of "/home/hpeesof/agilent-model-cache/cml/1.20/linux_x86/spectre_01488_20060613_015854_1804289383/lib.linux_x86"

It seems that there is some error with the rf_ahdl.cml file.
spectre already provides HB algorithm in PSS analysis. If you just want to use HB, you can stay with spectre.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…