timing checks in testbench

Status
Not open for further replies.

madhusudhan_prabhu

Newbie level 4
Joined
Apr 15, 2008
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,322
Hi All,
I want to know what are timing checks in testbenches (VHDL, Verilog or System C)? types of timing checks that exists? How to implement it in any one of the above mentioned HDL languages?

Thanks,
Madhu
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…