kemalkemal
Member level 1
- Joined
- Jan 27, 2013
- Messages
- 38
- Helped
- 1
- Reputation
- 2
- Reaction score
- 1
- Trophy points
- 1,288
- Activity points
- 1,672
Hi
I'm using Quartus 2 13.1 web edition and modelsim altera starter edition 10.1d. I have a terasic D0 board which i run my codes after simulations. My code is like that
After functional simulation every thing seems ok but when i execute timing simulation it works completely opposite.
Functional Sim
https://obrazki.elektroda.pl/5436091700_1417085337.jpg
Timing Sim
https://obrazki.elektroda.pl/6451318800_1417085385.jpg
What tools should i use to fix this situation? And any advice for this problem ? Thanks.
I'm using Quartus 2 13.1 web edition and modelsim altera starter edition 10.1d. I have a terasic D0 board which i run my codes after simulations. My code is like that
Code:
library ieee;
use ieee.std_logic_1164.all;
entity DD is
port (clk,X :in std_logic;
asyncset : in std_logic; -- D'den gelen out1 sinyali
Y:out std_logic);
end entity DD;
architecture behav of DD is
begin
process(clk,asyncset) begin
if asyncset = '1' then
Y<='1';
elsif rising_edge (clk) then
Y<= not X;
end if;
end process;
end architecture behav;
After functional simulation every thing seems ok but when i execute timing simulation it works completely opposite.
Functional Sim
https://obrazki.elektroda.pl/5436091700_1417085337.jpg
Timing Sim
https://obrazki.elektroda.pl/6451318800_1417085385.jpg
What tools should i use to fix this situation? And any advice for this problem ? Thanks.