This modulation can be done in an FPGA?

Status
Not open for further replies.

eddyp82

Newbie level 3
Joined
Nov 8, 2007
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,308
Hi everyone!

I wonder whether it is possible to do this type of modulation in a FPGA.


**broken link removed**





Outputs S1, S2, S3, S4, S5, S6 are IGBT pulse command to a multilevel inverter, but for me to generate such signals.

triangular waves are outdated in 180 degrees, and are compared with a 50 Hz frequency modulator.

Hopefully I can help

Greetings
 

It can be done pretty easily. Possibly, a dead-time generation for push-pull switches may be necessary in addition.
 

I do not have much information about FPGA but chech out this file
 

Apart of using FPGA and some kind of modulation, the publication isn't related to the question, do you aggree?
 

yes but i think it will help as a concept or an ideas about the capabilities of the FPGA and its applications
 

A Google query as pwm fpga sine triangle in contrast retrieves a lot of papers actually related to the topic and more helpful than the said one.
 

    eddyp82

    Points: 2
    Helpful Answer Positive Rating
ok FvM i agree with u
 

Friends thank you very much

I have seen some papers related to the subject, and I already have an idea of how to deal with it, anyway my little experience with FPGA I will do require any help again.

Greetings
 

As far as I understand, the basic problem is, that you don't have yet sufficient knowledge and skill of HDL programming. If you want to manage the project by yourself and don't expect others to provide a complete solution, learn and practise it.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…