Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

The difference between RTL and FPGA

Status
Not open for further replies.

spbshankar

Newbie level 4
Joined
Apr 11, 2008
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,328
Can any one explain difference between RTL and FPGA. This questions was asked by wipro interview
 

RTL Vs FPGA

RTL is a style of coding synthesizable VHDL or Verilog. In other words, it's how you describe your circuit in VHDL/Verilog so as you can synthesize it later. This means that the style you use for coding VHDL/Verilog testbenches may not be written in RTL as it's not intended to be synthesized.

FPGA (a competitor of ASIC) is a semiconductor device containing programmable logic components called "logic blocks", and programmable interconnects. Logic blocks can be programmed to perform the function of basic logic gates such as AND, and XOR, or more complex combinational functions such as decoders or mathematical functions. In most FPGAs, the logic blocks also include memory elements, which may be simple flip-flops or more complete blocks of memory.
 

    spbshankar

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top