[SVA] signal rise and stay stable -> how to write an assertion?

Status
Not open for further replies.

ivlsi

Advanced Member level 3
Joined
Feb 17, 2012
Messages
883
Helped
17
Reputation
32
Reaction score
16
Trophy points
1,298
Activity points
6,868
Hi All,

How can I write an assertion for a signal, which should rise within between 10 to 20 cycles and stay stable (HIGH) until the assertion will be disabled?

Thank you!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…