Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Storing data into EEPROM on FPGA

Status
Not open for further replies.

mmp131316

Junior Member level 1
Joined
Mar 6, 2011
Messages
19
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,427
Hello everybody;-),
Does anybody know how i could store a number to a memory and after i remove the power supply the information would still remain there for when i want to use that data again.I hope i was clear enough.
 

Well the simple and quick answer for this question is that i would need an external eeprom....
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top