simulation of source vhdl code - modelsim error

Status
Not open for further replies.

omidsht

Member level 2
Joined
Dec 24, 2007
Messages
43
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,556
modelsim error

Hi ,
when i simulate my source vhdl code withoutr sunthesis(functional simulation) every thing is okay . but after i synthesize my vhdl code with ISE 9.2 or 10.1 , i create the post synthesis model and post place and route model for simulation , then when i want to simulate these models with modelsim6.4c , after about 2000 ns of simulation it stops and says : error : the simulation time limit reached , note: delays were truncated !

what shall i do ?
thanks in advanced.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…